Opened 9 months ago

#18530 new bug

map_backing_store() called with size=0 for area 'radeon hd frame buffer'

Reported by: Carl_Miller Owned by: kallisti5
Priority: normal Milestone: Unscheduled
Component: Drivers/Graphics/radeon_hd Version: R1/beta4
Keywords: Cc:
Blocked By: Blocking:
Platform: x86-64

Description

I get a crash to KDL with the above error at the rocket stage of booting hrev57104 on my desktop Fireblade. Specs:

  • CPU: AMD Ryzen 5 5600X
  • Motherboard: MSI B550-A PRO
  • Memory: 16 GB (8 GB×2) G.Skill Ripjaws V DDR4-3200 RAM
  • Storage:
    • Western Digital WDS100T2B0C 1TB M.2 NVMe SSD (Linux);
    • Kingston SA400S37480G 480 GB SATA SSD (Windows);
    • Western Digital WD80EDAZ-11TA3A08 8 TB SATA HDD (data)
  • Video Card: MSI GAMING X Radeon RX 570 4 GB GPU

Attachments (2)

IMG_2667.jpeg (2.0 MB ) - added by Carl_Miller 9 months ago.
syslog.txt (236.4 KB ) - added by Carl_Miller 9 months ago.
Syslog of most recent boot (hrev57104)

Change History (2)

by Carl_Miller, 9 months ago

Attachment: IMG_2667.jpeg added

by Carl_Miller, 9 months ago

Attachment: syslog.txt added

Syslog of most recent boot (hrev57104)

Note: See TracTickets for help on using tickets.